Utilize este identificador para referenciar este registo: https://hdl.handle.net/1822/81553

Registo completo
Campo DCValorIdioma
dc.contributor.authorGomes, Tiago Manuel Ribeiropor
dc.contributor.authorSousa, Pedropor
dc.contributor.authorSilva, Miguelpor
dc.contributor.authorEkpanyapong, Mongkolpor
dc.contributor.authorPinto, Sandropor
dc.date.accessioned2023-01-05T13:40:22Z-
dc.date.available2023-01-05T13:40:22Z-
dc.date.issued2022-
dc.identifier.citationGomes, T.; Sousa, P.; Silva, M.; Ekpanyapong, M.; Pinto, S. FAC-V: An FPGA-Based AES Coprocessor for RISC-V. J. Low Power Electron. Appl. 2022, 12, 50. https://doi.org/10.3390/jlpea12040050-
dc.identifier.issn2079-9268-
dc.identifier.urihttps://hdl.handle.net/1822/81553-
dc.description.abstractIn the new Internet of Things (IoT) era, embedded Field-Programmable Gate Array (FPGA) technology is enabling the deployment of custom-tailored embedded IoT solutions for handling different application requirements and workloads. Combined with the open RISC-V Instruction Set Architecture (ISA), the FPGA technology provides endless opportunities to create reconfigurable IoT devices with different accelerators and coprocessors tightly and loosely coupled with the processor. When connecting IoT devices to the Internet, secure communications and data exchange are major concerns. However, adding security features requires extra capabilities from the already resource constrained IoT devices. This article presents the FAC-V coprocessor, which is an FPGA-based solution for an RISC-V processor that can be deployed following two different coupling styles. FAC-V implements in hardware the Advanced Encryption Standard (AES), one of the most widely used cryptographic algorithms in IoT low-end devices, at the cost of few FPGA resources. The conducted experiments demonstrate that FAC-V can achieve performance improvements of several orders of magnitude when compared to the software-only AES implementation; e.g., encrypting a message of 16 bytes with AES-256 can reach a performance gain of around 8000× with an energy consumption of 0.1 µJ.por
dc.description.sponsorshipThis work has been supported by FCT-Fundacao para a Ciencia e Tecnologia within the R & D Units Project Scope UIDB/00319/2020 and Grant SFRH/BD/146678/2019.por
dc.language.isoengpor
dc.publisherMDPIpor
dc.relationinfo:eu-repo/grantAgreement/FCT/6817 - DCRRNI ID/UIDB%2F00319%2F2020/PTpor
dc.relationinfo:eu-repo/grantAgreement/FCT/POR_NORTE/SFRH%2FBD%2F146678%2F2019/PTpor
dc.rightsopenAccesspor
dc.rights.urihttps://creativecommons.org/licenses/by/4.0/-
dc.subjectRISC-Vpor
dc.subjectInternet of Things (IoT)por
dc.subjectField-Programmable Gate Array (FPGA)por
dc.subjectAdvanced Encryption Standard (AES)por
dc.subjectRISC-V coprocessorpor
dc.titleFAC-V: an FPGA-Based AES Coprocessor for RISC-Vpor
dc.typearticlepor
dc.peerreviewedyespor
dc.relation.publisherversionhttps://doi.org/10.3390/jlpea12040050por
oaire.citationIssue4por
oaire.citationVolume12por
dc.identifier.doi10.3390/jlpea12040050por
dc.subject.fosEngenharia e Tecnologia::Engenharia Eletrotécnica, Eletrónica e Informáticapor
dc.subject.wosScience & Technologypor
sdum.journalJournal of Low Power Electronics and Applicationspor
dc.subject.odsIndústria, inovação e infraestruturaspor
Aparece nas coleções:CAlg - Artigos em revistas internacionais / Papers in international journals

Ficheiros deste registo:
Ficheiro Descrição TamanhoFormato 
jlpea-12-00050-v3.pdf700,68 kBAdobe PDFVer/Abrir

Este trabalho está licenciado sob uma Licença Creative Commons Creative Commons

Partilhe no FacebookPartilhe no TwitterPartilhe no DeliciousPartilhe no LinkedInPartilhe no DiggAdicionar ao Google BookmarksPartilhe no MySpacePartilhe no Orkut
Exporte no formato BibTex mendeley Exporte no formato Endnote Adicione ao seu ORCID